Welcome![Sign In][Sign Up]
Location:
Search - RAM vhdl

Search list

[VHDL-FPGA-VerilogEvsStore

Description: 用VHDL编写的由FPGA控制SDRAM的存储控制程序-VHDL prepared by the FPGA control SDRAM memory control procedures
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-Verilogsdramusevhdl

Description: sdram的vhdl实现 本文介绍了sdram的控制时序特征,并介绍了采用vhdl语言实现的sdram控制器的关键技术-SDRAM This paper introduces the realization of SDRAM timing control features, and introduces the VHDL language SDRAM controller of the key technologies
Platform: | Size: 84992 | Author: cxr | Hits:

[SCMP.H.Y_programer

Description: 单片机的程序集.大家可以参考一下.我想做一个更好的程序集及电路板.大约在10月份完成.请有兴趣的关注一下.当然我还要做一个通信的vhdl的仿真.-SCM suite. We can take a look. I would like to do a better procedures for collecting and circuit boards. About October completion. those interested in the look. of course, I still have to do a communications VHDL simulation.
Platform: | Size: 28672 | Author: 潘 应 云 | Hits:

[VHDL-FPGA-Verilogdpram_fpga

Description: 这是我用vhdl语言,在fpga内部做了一个双口ram的程序。我的邮箱:wleechina@163.com-This is the language I used vhdl in fpga done an internal dual-port ram procedures. My mail : wleechina@163.com
Platform: | Size: 2831360 | Author: 李伟 | Hits:

[VHDL-FPGA-Verilogsdram_control

Description: 这是我从网上找到的用vhdl语言写的sdram控制器的代码。我的邮箱:wleechina@163.com-This is what I found online vhdl language used to write the sdram controller code. My mail : wleechina@163.com
Platform: | Size: 339968 | Author: 李伟 | Hits:

[VHDL-FPGA-Verilogmy_ramlib_06

Description: 包括各种类型存储器的VHDL描述,如FIFO,双口RAM等 -including various types of memory VHDL description, such as FIFO, Dual Port RAM, etc.
Platform: | Size: 615424 | Author: ruan | Hits:

[SCMPinYin_InputMethod_C51

Description: 用C51实现的拼音输入法,这是改写的网友 embuffalo、独步上载在www.21ic.com自由发布区的由张凯原作的51上的拼音输入法程序。 原作使用了一个二维数组用以查表,我认为这样比较的浪费空间,而且每个字表的索引地址要手工输入,效率不高。所以我用结构体将其改写了一下。就是大家现在看到的这个。 因为代码比较的大,共有6,000多汉字,这样就得要12,000 byte来存放GB内码,所以也是没办法的 :-( 编译结果约为3000h,因为大部分是索引表,代码优化几乎无效。 在Keil C里仿真芯片选用的是华邦的W77E58,它有32k ROM, 256B on-chip RAM, 1K on-chip SRAM (用DPTR1指针寻址,相当于有1K的片上xdata)。条件有限,没有上片试验,仿真而已。 打算将其移植到AVR上,但CodeAVRC与IAR EC++在结构体、指针的定义使用上似乎与C51不太一样,现在还未搞定。还希望在这方面有经验的网友能给予指导。-C51 with the Pinyin input method, which is rewritten netizens embuffalo. Unrivaled www.21ic.com available in the free publication of the original work by Kai-51 on the Pinyin input method procedures . Appreciate the use of a two-dimensional array for the look-up table, I think this is a waste of space. Each of the characters but the index table to manually input address, efficiency is not high. I use the structure to rewrite a bit. We see now is this. Because the code comparison, a total of 6, more than 000 Chinese characters, this must be 12, byte to store 000 GB code, is not the way to compile results :-( about 3000h. because most of the index table. Code Optimization almost ineffective. Keil in the C simulation uses the chip in W77E58 Winbond, It has 32 k ROM 256B on-chip RAM, 1K on-chi
Platform: | Size: 14336 | Author: Jawen | Hits:

[VHDL-FPGA-Verilogvhdl_ad0809_arm

Description: 本程序是用VHDL语言写的,包括AD0809,双口RAM等程序。已经调试过-this program is written in VHDL, including the AD0809, dual-port RAM, and other procedures. Debugging has been too
Platform: | Size: 4096 | Author: lm | Hits:

[Embeded-SCM DevelopVHDLRAM

Description: 介绍vhdl硬件描述语言的特点及设计思想,运用vhdl硬件描述语言实现计算机原理实验中RAM存储器的设计方法,重点描述了对传统计算机组成原理实验中移植到基于CPLD平台的思想-introduced vhdl hardware description language features and design ideas, vhdl use hardware description language computer science experiments RAM memory design, Description of key computer components of the traditional principle experiment to transplant platform based on the idea of CPLD
Platform: | Size: 30720 | Author: 刘浏 | Hits:

[Program doc8bitmultiplicatin

Description: it is a 8 bit multiplication vhdl program.sorry ,my english is poor ,but my programmor is used.-it is a bit multiplication 8 vhdl program.s orry, my english is poor. but my programmor is used.
Platform: | Size: 8192 | Author: songzhigang | Hits:

[Otherlattice_sdram_source_code

Description: lattice sdram 控制器的源码,VHDL语言编码 包括仿真文件-lattice sdram controller source code, including VHDL simulation document coding
Platform: | Size: 31744 | Author: dido wang | Hits:

[VHDL-FPGA-VerilogDDS_sin

Description: 用VHDL语言实现DDS直接数字频率合成器的设计,采用正弦RAM表,可实现频率可控的正弦数字信号,编译、仿真通过。-VHDL DDS Direct Digital Frequency Synthesizer Design using sinusoidal RAM table achieve controllable frequency sinusoidal digital signal, compile, through simulation.
Platform: | Size: 8192 | Author: sarahyu | Hits:

[VHDL-FPGA-VerilogRAM_VHDL_34

Description: RAM之VHDL描述 RAM之VHDL描述-RAM's VHDL description RAM's VHDL description RAM's VH DL described in VHDL's RAM
Platform: | Size: 5120 | Author: | Hits:

[VHDL-FPGA-Verilogvhdl_sw_lr

Description: 我自己写的vhdl程序,内有画图器,ram 和控制ram。还有test bentch。-I wrote it myself vhdl procedures, which are drawing device, and control of ram ram. There bentch test.
Platform: | Size: 16384 | Author: 王大宝 | Hits:

[Multimedia DevelopVBuffer_1c6

Description: 视频采集并锁存到SDRAM中的完整代码,运行环境为QII,VHDL与标准参数宏模块调用混合设计 是学习视频采集的很好的参考-Video Capture SDRAM and latches to the integrity code, the operating environment for QII. VHDL standard parameter-called hybrid module is designed to study the Video Capture good reference
Platform: | Size: 4133888 | Author: 刘留 | Hits:

[VHDL-FPGA-VerilogBRAM2DRAM

Description: FPGA内嵌的BRAM资源很少,此代码为DRAM代码风格,可以极大程度上减少FPGA内嵌资源的消耗。txt文档中含源代码,直接粘成vhdl即可-FPGA embedded BRAM few resources, the code for the DRAM code style, you can significantly reduce resource consumption embedded FPGA. txt document containing the source code directly into VHDL can be sticky
Platform: | Size: 2048 | Author: 苗苗 | Hits:

[VHDL-FPGA-VerilogFPGA_write_sram

Description: FPGA向SRAM中写入数据,VHDL编程-FPGA to the SRAM write data, VHDL programming
Platform: | Size: 262144 | Author: | Hits:

[VHDL-FPGA-Verilogdouble_RAM

Description: 在modolsim平台下仿真完成了一个双端口RAM的实现,希望有用。-Simulation platform in modolsim completed a dual-port RAM realize the hope that useful.
Platform: | Size: 89088 | Author: 陈曦 | Hits:

[ARM-PowerPC-ColdFire-MIPSICL7135

Description: 双口RAM程序实例-Dual-port RAM instance
Platform: | Size: 15360 | Author: 赖永仲 | Hits:

[VHDL-FPGA-Verilog128×16ram

Description: VHDL程序设计的RAM存储器,双端口,128×16比特-VHDL programming RAM memory, dual-port, 128 × 16 bits
Platform: | Size: 1024 | Author: petri | Hits:
« 1 2 34 5 6 7 8 9 10 ... 17 »

CodeBus www.codebus.net